VHDL – הבדלי גרסאות

תוכן שנמחק תוכן שנוסף
גם תיכון היה בסדר, לדעתי, אבל אם עוברים לתכנון, אז בלי יוד (לפי כללי הכתיב חסר הניקוד)
תגיות: עריכה חזותית חשד למילים בעייתיות
שורה 1:
[[קובץ:GVim VHDL FR.png|שמאל|ממוזער|250px|עורך VHDL]]
אשכים :'''VHDL''' ({{כ}}[[VHSIC]] (Very High Speed Integrated Circuits) Hardware Description Language) היא [[שפת מחשב]] לתיאור [[חומרה]] המשמשת לתיאור של [[אלקטרוניקה ספרתית|מעגלים ספרתיים]]. תיאור מעגלים מתאפשר במספר רמות הפשטה, החל מרמת [[שער לוגי|שערים לוגיים]] ועד למבנים מוכללים כגון [[מכונת מצבים|מכונות מצבים]], מבנים אריתמטיים, זכרונות שונים וכו'. מעגלים אלה מכוונים לרכיבים אלקטרונים משולבים: [[ASIC]], [[FPGA]] ו-[[VLSI]]. הגרסה הרווחת של השפה היא VHDL-93. לאחרונה יצאה גרסה התומכת גם במעגלים אנלוגיים הנקראת [[VHDL-AMS]].
 
==היסטוריה==