VHDL – הבדלי גרסאות

תוכן שנמחק תוכן שנוסף
קישור לערך ראשי במקום לדף הפניה
מ הגהה
שורה 1:
[[קובץ:GVim VHDL FR.png|שמאל|ממוזער|250px|עורך VHDL]]
'''VHDL''' ({{כ}}[[VHSIC]] (Very High Speed Integrated Circuits) Hardware Description Language) היא [[שפת מחשב]] לתיאור [[חומרה]] המשמשת לתיאור של [[אלקטרוניקה ספרתית|מעגלים ספרתיים]]. תיאור מעגלים מתאפשר במספר רמות הפשטה, החל מרמת [[שער לוגי|שערים לוגיים]] ועד למבנים מוכללים כגון [[מכונת מצבים|מכונות מצבים]], מבנים אריתמטיים, זכרונות שונים וכו'וכולי. מעגלים אלה מכוונים לרכיבים אלקטרונים משולבים: [[ASIC]], [[FPGA]] ו-[[VLSI]]. הגרסה הרווחת של השפה היא VHDL-93. לאחרונה יצאה גרסה התומכת גם במעגלים אנלוגיים הנקראת [[VHDL-AMS]].
 
==היסטוריה==
השפה פותחה ביוזמה של [[משרד ההגנה האמריקאי]] ב[[שנות השמונים]] כשיטה לתיעוד של תכנוני [[אלקטרוניקה ספרתית|מעגלים ספרתיםספרתיים]] מורכבים וגדולים. כיום הגוף המרכזי שממשיך לעדכן את התקן הוא [[IEEE]] כתקן IEEE-1076. בשנים שקדמו לפיתוח השפה נעשה שימוש בכלי תכנון גרפיים, בהם המהנדס בוחר [[רכיב אלקטרוני|רכיבים אלקטרוניים]], ממקם אותם בשרטוט ומחבר ביניהם לקבלת מעגל רצוי. תהליך זה הוא תהליך הנעשה בצורה ידנית. בשלב מסוים נעשו שרטוטים אלו מורכבים, ומסובכיםמסובכים ובעייתים מבחינת התאימות בין תכנונים שונים והתעורר הצורך בפיתוח כלי [[מחשב]] אוטומטיים אשר מסוגלים למדל את התכנונים או לתרגמם לרשימות (Netlist) של רכיבים והחיבוריות ביניהם. המעבר לשפה ממוחשבת סלל את הדרך לפיתוח כלי סימולציה וסינתזה אוטומטיים. כפועל יוצא, התאפשר פיתוח שיטתי של כמות רכיבים גדולה מאד (מיליוני, ואפילו עשרות מיליוני שערים), הממוקמים על גבי [[שבב]] יחיד ומזעור הרכיבים המכילים מיליוני [[שער לוגי|שערים לוגיים]] על גבי פיסת [[צורן|סיליקון]] בגודל של מילימטרים בודדים.
 
== תכונות ==
שורה 13:
 
== תכנון ==
תהליך התכנון בשפת VHDL כולל את רוב השלבים המסורתיים של תכן ספרתי: בניית ארכיטקטורההארכיטקטורה של המערכת, הגדרת יחסי גומלין בין החלקים המבצעים לבין החלקים המבקרים בתוך המערכת, כתיבת קוד, סימולציה וסינתזה. לרוב נכתב הקוד בעורך פשוט ([[Emacs|כגון Emacs]]) קיימים גם כלים המשלבים מהדר יחד עם כלי כתיבת הקוד. ישנם כלים המאפשרים תכנון באמצעות כתיבת קוד ושילוב תכנון גרפי באותו הפרויקט. כלים אלה מתרגמים תכנון גרפי לקוד VHDL.
 
== דוגמאות תכנון ==